[求助] 读取数据并显示的问题

chenbingjy   2022-10-8 19:48 楼主

我仿照夏宇闻老师书上的例子写了一个读取文件数据并显示的testbench文件
代码如下:

`timescale 1 ns/ 1 ps
module LAMP_vlg_tst();
// constants                                           
// general purpose registers
reg eachvec;
// test vector input registers
reg clk;
reg rst_n;
// wires                                               
wire led;

// assign statements (if any)                          
LAMP i1 (
// port map - connection between master ports and signals/registers   
	.clk(clk),
	.led(led),
	.rst_n(rst_n)
);



reg [7:0] memory [15:0];


parameter index=0;


initial begin

$readmemh("memory.list", memory);
end
reg [3:0] scan;
initial begin:qushu
if(index>0)
	
	
	
	for(scan=0;scan<index;scan=scan+1)
		
			
			if(memory[scan]>0)
				begin
					$display("%d",memory[scan]);
					memory[scan]=0;
				end
		
		
	
else
	
	$display("error--indexiszero");
	
end
endmodule

memory.list文件里有三个数1 2 3。如果index大于0,比如三,运行结果如下:

8.jpg

如果index=0,运行结果什么也打印不出来

9.jpg

按道理来讲,应该打印error--indexiszero。
请高手指教,谢谢!
 

为江山踏坏了乌骓马,为社稷拉断了宝雕弓。

回复评论 (2)

这个是什么环境下的,楼主最好介绍一下,要不发帖的目的性。。。

点赞  2022-10-10 09:02
引用: lugl4313820 发表于 2022-10-10 09:02 这个是什么环境下的,楼主最好介绍一下,要不发帖的目的性。。。

quartus ii 11.0

modelsim  SE-64 2019.2

为江山踏坏了乌骓马,为社稷拉断了宝雕弓。
点赞  2022-10-10 11:29
电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 京公网安备 11010802033920号
    写回复