[求助] 带$value$plusargs的条件执行的问题

chenbingjy   2022-10-10 18:21 楼主

我正在做夏宇闻老师书上115页的例子
代码如下:

`timescale 1 ns/ 1 ps
module seven_vlg_tst();
// constants                                           
// general purpose registers
reg eachvec;
// test vector input registers
reg clk;
reg rst_n;
// wires                                               
wire led;

// assign statements (if any)                          
seven i1 (
// port map - connection between master ports and signals/registers   
	.clk(clk),
	.led(led),
	.rst_n(rst_n)
);


reg[8*128-1:0] test_string="test1.vec";

reg[7:0] vectors[0:18];
integer clk_period;
reg[4:0] i;
reg j=0;
//test_string<="test1.vec";

	

initial begin
	if($value$plusargs("filename=%s",test_string))
	begin
		
		
		$readmemh(test_string,vectors);
		for(i=0;i<16;i=i+1)
			$display("%h",vectors[i]);
	end
	else
		$display("Test name option not specified");
		
	if($value$plusargs("clk_t=%d",clk_period))
		begin
			j=1;
			clk=0;
			forever #(clk_period/2) clk=~clk;
			
	   end 
	else
		$display("Clock period option name not specified");
end

 initial begin
		  
		if(j==1)
			begin
			rst_n=0;
			#1000;
			rst_n=1;
			#5000;
			$stop;
			end
		end 

                                              
endmodule

仿真现象如下:

4.jpg 数据读取出来了,可是没有波形。
请问高手,如何解决?谢谢!

为江山踏坏了乌骓马,为社稷拉断了宝雕弓。

回复评论

暂无评论,赶紧抢沙发吧
电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 京公网安备 11010802033920号
    写回复