文档简介
I.弹跳消除模块源程序如下:--content definition of component "debounce"library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;--io signal declaractionentity debounce isport(clk: in std_logic; --basic clock inputclr:in std_logic;touch: in std_logic; --push button signalpush_out: out std_logic); --cleared push botton signal
评论
加载更多
推荐下载
查看更多
精选文集
相关视频
推荐帖子