[讨论]
十万火急,,我这样把拨码赋程序中为什么老抱错误..用ISE
任意整数分频,占空比为50%(VHDL)
--希望能对大家有帮助
--**************************************--
--程序名:任意整数分频,占空比为50%
--**************************************--
library ieee;
use ieee.std_logic_1164.all;
entity clk_div is
generic(n:integer:=2);--n的值是要分频的系数,n>=2
port (clock : in std_logic:='0';
d : in STD_LOGIC_VECTOR (7 downto 0);
clk_out : out std_logic);
end clk_div;
architecture sea of clk_div is
signal temp : std_logic:='0';
begin
process(clock,temp)
variable a,a1,a2 : integer range 0 to n;
variable temp1,temp2 : std_logic:='0';
begin
if (n rem 2)=1 then
if rising_edge(clock) then
if a1=n-1 then a1:=0;temp1:='0';
elsif a1<((n+1)/2-1) then temp1:='1';a1:=a1+1;
elsif a1>=((n+1)/2-1) then temp1:='0';a1:=a1+1;
end if;
end if;
if falling_edge(clock) then
if a2=n-1 then a2:=0;temp2:='0';
elsif a2<((n+1)/2-1) then temp2:='1';a2:=a1+1;
elsif a2>=((n+1)/2-1) then temp2:='0';a2:=a2+1;
end if;
end if;
temp<=temp1 or temp2;
elsif rising_edge(clock) then
if a=(n/2-1) then a:=0;temp<=not temp;
else a:=a+1;
end if;
end if;
end process;
clk_out<=temp;
end sea;
--程序结束
d : in STD_LOGIC_VECTOR (7 downto 0); 为拨码开关,,如何副给n:integer:=2
(n:integer:=d);--n的值是要分频的系数,n>=2
me每次这样搞就报错误,,如何休整?????/如何把d 给 n
d 是矢量类型,n是整数。两者不能直接赋值。
正确的做法:n<=CONV_INTEGER(d);
一个为理想不懈前进的人,一个永不言败人!
http://shop57496282.taobao.com/
欢迎光临网上店铺!
版主我实验了你的办法,,
还是不行,,,关键我不知道从什么地方插,,用什么格式,,要不麻烦你帮我整下??就差如何传这个变量了
在ISE编译老过不了,,要不麻烦你给我个完整版本,,..还有一个地方就是
'
n>=2
,,那就是说必须2分频以上,,实际上我拨码不拨对应的输出就是输入波形,,如何整??万分着急,,也就是如何不分频..
需要经过一定的转换
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all; 先把这两个库包括进来
PacCnt=to_integer(unsigned(Rxd)); 具体语句写法 RXD:std_logic_vector PacCnt:integer