[讨论] 哪个朋友XINLIN的VHDL程序比较厉害,,我写了个程序老有点小问题

zhuzhouren   2010-4-29 08:44 楼主
八个拨码开关  
11111111   不分频
11111110   2分频
11111101   3分频
11111100    4分频

依次类推,,,问题的难点就在奇数  偶数都要分频
而且  我用的是XINLIN 的9536  ,,用ISE软件仿真有时候过不了??哪个朋友可以帮我写个可以仿真过的程序不

回复评论 (4)

三分频可以六分频实现啊
做一个快速的时钟,用计数器实现
点赞  2010-4-29 10:28
朋友,这个问题都有源程序了。请参考相关贴子
一个为理想不懈前进的人,一个永不言败人! http://shop57496282.taobao.com/ 欢迎光临网上店铺!
点赞  2010-4-29 10:29
引用: 你说的
“-程序名:任意整数分频,占空比为50%
--**************************************--
library ieee;
use ieee.std_logic_1164.all;
entity clk_div is
generic(n:integer:=2); --n的值是要分频的系数,n>=2
    port (clock : in std_logic:='0';
          clk_out : out std_logic);
end clk_div;
architecture sea of clk_div is
signal temp : std_logic:='0';

begin
process(clock,temp)
variable a,a1,a2 : integer range 0 to n;
variable temp1,temp2 : std_logic:='0';
begin
if (n rem 2)=1 then
if rising_edge(clock) then
    if a1=n-1 then a1:=0;temp1:='0';
    elsif a1<((n+1)/2-1) then temp1:='1';a1:=a1+1;
       elsif a1>=((n+1)/2-1) then temp1:='0';a1:=a1+1;
    end if;
end if;
if falling_edge(clock) then
    if a2=n-1 then a2:=0;temp2:='0';
    elsif a2<((n+1)/2-1) then temp2:='1';a2:=a1+1;
       elsif a2>=((n+1)/2-1) then temp2:='0';a2:=a2+1;
    end if;
end if;
temp<=temp1 or temp2;
elsif rising_edge(clock) then
    if a=(n/2-1) then a:=0;temp<=not temp;
    else a:=a+1;
    end if;
end if;
end process;
clk_out<=temp;
end sea;
--程序结束

这个程序是论坛上另外一个版主编写的,,我不会整到拨码开关的8位输入,,还有就是如何不分频输出 ”

拨码开关就是一信号,
if a= 11111111   then 原样输出
if a=11111110   then 2分频输出
……
点赞  2010-4-29 10:31
https://bbs.eeworld.com.cn/redirect.php?tid=103196&goto=lastpost#lastpost
呵呵,不要重复开帖了,这不是有答案吗
点赞  2010-4-29 10:33
电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 京公网安备 11010802033920号
    写回复