[讨论] 基础问题:FPGA中寄存器的长度有限制吗?

eeleader   2010-6-9 08:51 楼主
FPGA 是硬件编程器件,器寄存器的长度理论上没有限制,受限与硬件容量,以及对寄存器的时钟速率要求。比如要实现一个256位的串并转换。只需如下编程即可:
    din :  in   std_logic_vector(255 downto 0);
    dout : out std_logic
    .....................
    signal shift  : std_logic_vector(255 downto 0);

    process(clk,rst)
    begin
     if (rst='1') then
      dout<='0';
     elsif clk'event and clk='1'  then
        dout<=shift(0);
        shift<='0' & shift(255 downto 1);
    end if;

  就我个人编程实践来说,FPGA的寄存器就没有遇到过长度上限制,只要FPGA容量足够大,就能保证设计的正确性的
一个为理想不懈前进的人,一个永不言败人! http://shop57496282.taobao.com/ 欢迎光临网上店铺!

回复评论

暂无评论,赶紧抢沙发吧
电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 京公网安备 11010802033920号
    写回复