FPGA 是硬件编程器件,器寄存器的长度理论上没有限制,受限与硬件容量,以及对寄存器的时钟速率要求。比如要实现一个256位的串并转换。只需如下编程即可:
din : in std_logic_vector(255 downto 0);
dout : out std_logic
.....................
signal shift : std_logic_vector(255 downto 0);
process(clk,rst)
begin
if (rst='1') then
dout<='0';
elsif clk'event and clk='1' then
dout<=shift(0);
shift<='0' & shift(255 downto 1);
end if;
就我个人编程实践来说,FPGA的寄存器就没有遇到过长度上限制,只要FPGA容量足够大,就能保证设计的正确性的
一个为理想不懈前进的人,一个永不言败人!
http://shop57496282.taobao.com/
欢迎光临网上店铺!