[求助] 谁能翻译下这段VHDL程序什么意思 3Q

zmxncb121   2011-5-19 21:37 楼主

Library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

 

 

entity counter is                           实体计数器是

      port(   clk      : in  std_logic;

               enable     : in  std_logic;

                clr    : in  std_logic;

             gd      : out std_logic;

             q       : buffer  std_logic_vector(3 downto 0));

end counter;

 

 

architecture counter_arch of  counter is

begin

  进程标号)process(敏感信号表)(clk,enable,clr)

  begin

      if( clr='1')then

          q<=(others=>'0');       一次性按位赋值,全部位为零

      elsif(clk'event and clk='1')then

         if( enable='1')then

            if(q(3)='1'and q(0)='1')then

               q<=(others=>'0');

            else

               q<=q+1;

            end if;  

         end if;

      end if;

  end process;

  gd<=q(3) and q(0) and enable;

end counter_arch;

回复评论 (7)

这是个计数器啊。根据程序的意思,

clr 是复位信号;

enable 是使能信号;

每10个周期,输出一个脉冲!

一个为理想不懈前进的人,一个永不言败人! http://shop57496282.taobao.com/ 欢迎光临网上店铺!
点赞  2011-5-20 08:45

回复 楼主 zmxncb121 的帖子

内部是一个十进制的计数器,而且是带有同步使能和异步清零信号的。但是不知道输出是在做什么啊
点赞  2011-5-20 08:53

回复 沙发 eeleader 的帖子

对了,就是这个意思。你挺厉害呀
点赞  2011-5-20 08:54
兄弟,这不都是小儿科的问题啊
一个为理想不懈前进的人,一个永不言败人! http://shop57496282.taobao.com/ 欢迎光临网上店铺!
点赞  2011-5-25 13:01
适合我这样的初学者学习
点赞  2011-7-1 10:49
路过哈哈哈
点赞  2011-7-3 21:53
现在看起来真的是小儿科啊
点赞  2011-7-26 10:47
电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 京公网安备 11010802033920号
    写回复