我用软件自带的IP核去调用FIFO的,参数都设置好后,我用 signal s : std_logic_vector(7 downto 0);
process(filter_clk)
begin
if rising_edge(filter_clk) then
s <= s + 1;
filter_dat_out <= s;
end if;
。。去给fifo写入数据
然后再Niosii里面的C语言语句 :
if(READ_EMPTY == 1) {
printf("sdhkhk");
CLR_RDEG;
SET_CLR;
CLR_CLR;
SET_WRREG;
}
else if(READ_FIFO_ALMOST == 1) {
SET_RDEG;
CLR_WRREG;
for(N = 0; N < LENGTH; N++) {
SET_RDEG;
dataIN[N].image = READ_FIFO_DATA;
CLR_RDEG;
printf("%f/n", dataIN[N].image);
我是想达到等FIFO满时都读出后在写一直到写满,在去读,......
但我每次读出来的数据我全部是一样的