[求助] 代码求助

4027379   2012-11-3 15:09 楼主
architecture beeper of div is
signal count : std_logic_vector(0 to 25);
signal true : std_logic:='0';
begin
   process(clk)
  begin
  if (rising_edge(clk)) then
     if true='0' then
    if (rst='0')then
     count<=(others=>'0');
     true<='1';
      end if;
   else
      count<=count+1 ;
            led<= count(25);
   end if;
  end if;
end process;
end beeper;  

为何led一直是低的?

回复评论 (3)

由于true='0', 所以 不会执行count<=count+1; 这条语句,故而你的LED一直为低。
一个为理想不懈前进的人,一个永不言败人! http://shop57496282.taobao.com/ 欢迎光临网上店铺!
点赞  2012-11-3 16:51

回复 沙发 eeleader 的帖子

RST 复位信号拉低过一次,但结果还是一直为低。
请教
点赞  2012-11-3 17:24

回复 沙发 eeleader 的帖子

找到原因了,在此结贴
std_logic_vector(0 to 25);
换成downto
点赞  2012-11-3 20:41
电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 京公网安备 11010802033920号
    写回复