[求助] 这个VHDL的信号赋初值语句怎么理解?

andyandy   2010-11-8 09:44 楼主
signal abc: std_logic_vector(5 downto 0):=(others=>'0');
困扰很久,一直不明白。
哪位大侠能给详解一下?谢谢!

回复评论 (4)

这个,,好像和赋值成000000一样吧.我感觉.;P
点赞  2010-11-8 15:01

 <= (others => '0');

 := (others => '0');

置全'0',类似的还有只全'1',置全高阻如下:

 <= (others => '1');

 := (others => '1');

 <= (others => 'Z');

 := (others => 'Z');

点赞  2010-11-8 20:01

回复 楼主 andyandy 的帖子

就是将每位都赋‘0’
快乐是一天,不快乐也是一天,为什么不天天快乐呢
点赞  2010-11-9 22:06

3Q!

谢谢!
点赞  2010-11-10 08:37
电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 京公网安备 11010802033920号
    写回复